Unisciti agli oltre 155.000 follower di IMP

www.foodpackautomation.it
Intel News

INTEL ACCELERA LE INNOVAZIONI DEI PROCESSI PRODUTTIVI E DEL PACKAGING

Intel Corporation ha presentato oggi una delle sue più dettagliate roadmap di processo e di tecnologie di packaging di sempre, mostrando una serie di radicali innovazioni che saranno alla base dei prodotti dell’azienda da qui al 2025 e oltre. È stata annunciata RibbonFET, la prima nuova architettura di transistor in oltre 10 anni, e PowerVia, la prima soluzione di alimentazione attraverso il backside nel settore, e l’azienda ha evidenziato la rapida adozione della litografia extreme ultraviolet (EUV) di nuova generazione, chiamata High Numerical Aperture (High NA) EUV. Intel sta per ricevere il primo strumento di produzione High NA EUV del settore.

INTEL ACCELERA LE INNOVAZIONI DEI PROCESSI PRODUTTIVI E DEL PACKAGING

“Sulla base dell’incontestata leadership di Intel nel packaging avanzato, stiamo accelerando la nostra roadmap di innovazione per assicurarci di essere sul percorso verso la leadership nelle prestazioni del processo produttivo entro il 2025”, ha dichiarato Pat Gelsinger, CEO di Intel durante la webcast internazionale “Intel Accelerated”. “Forti di un programma di innovazione senza paragoni, portiamo avanzamenti tecnologici a partire dal transistor fino al livello di sistema. Finché non sarà esaurita la tavola periodica degli elementi, non smetteremo di perseguire la Legge di Moore nel nostro percorso di innovazione con la magia del silicio”.

Il settore tecnologico ha da tempo riconosciuto che la tradizionale nomenclatura dei nodi di processo basata sui nanometri ha smesso di corrispondere alle effettive misure di lunghezza dei gate nel 1997. Oggi Intel presenta una nuova nomenclatura per i nodi di processo creando un quadro chiaro e omogeneo che offra ai clienti una visione più precisa dei nodi di processo esistenti nel settore. Questa chiarezza è più importante che mai con il lancio di Intel Foundry Services. “Le innovazioni che abbiamo mostrato oggi non abiliteranno solo la roadmap di prodotto di Intel, ma saranno anche importanti per i clienti delle nostre foundry”, prosegue Gelsinger. “L’interesse verso IFS è forte e sono entusiasta di poter annunciare oggi i primi due clienti di primaria importanza. IFS sta partendo alla grande!”.

I tecnici di Intel hanno descritto la seguente roadmap con la nuova nomenclatura dei nodi e le innovazioni alla base di ciascuno di essi.
  • Intel 7 offre un aumento di prestazioni per watt compreso tra il 10% e il 15% circa rispetto a Intel SuperFin a 10 nm, in base alle ottimizzazioni dei transistor FinFET. Intel 7 sarà presente in prodotti quali Alder Lake per client nel 2021 e Sapphire Rapids per data center, per cui è previsto l’inizio della produzione nel primo trimestre del 2022.
  • Intel 4 abbraccia appieno la litografia EUV per stampare elementi di dimensioni incredibilmente ridotte utilizzando luce a lunghezza d’onda ultracorta. Con un aumento delle prestazioni per Watt di circa il 20% insieme a miglioramenti nella superficie, Intel 4 sarà pronto per la produzione nella seconda metà del 2022 con i prodotti previsti in arrivo sul mercato nel 2023, tra cui Meteor Lake per client e Granite Rapids per data center.
  • Intel 3 sfrutta ulteriori ottimizzazioni di FinFET e un aumento dell’EUV per fornire un aumento di circa il 18% nelle prestazioni per watt rispetto a Intel 4, oltre a ulteriori miglioramenti nella superficie. Intel 3 sarà pronto per per entrare in produzione nella seconda metà del 2023.
  • Intel 20A inaugura l’era dell’angstrom con due tecnologie innovative, RibbonFET e PowerVia. RibbonFET, l’implementazione di Intel di un transistor con gate su tutti i lati, sarà la prima nuova architettura di transistor dell’azienda da quando ha introdotto FinFET nel 2011. Questa tecnologia offre velocità di commutazione dei transistor più elevate a parità di corrente di azionamento rispetto a chip con molteplici alette, ma con un ingombro più ridotto. PowerVia è l’esclusiva implementazione di Intel di alimentazione dal retro, che ottimizza la trasmissione del segnale eliminando la necessità far passare l’alimentazione al lato anteriore del wafer. Si prevede che la produzione di Intel 20A inizi nel 2024. Intel è inoltre entusiasta dell’opportunità di collaborare con Qualcomm con la propria tecnologia di processo Intel 20A.
  • 2025 e oltre: oltre a Intel 20A, Intel 18A è già in fase di sviluppo per l’inizio del 2025 con perfezionamenti a RibbonFET che offriranno un ulteriore importante salto nelle prestazioni dei transistor. Intel sta inoltre lavorando per definire, costruire e distribuire High NA EUV di nuova generazione e prevede di ricevere il primo strumento di produzione nel settore. Intel sta collaborando strettamente con ASML per assicurare il successo di questa svolta per il settore che supera l’attuale generazione di EUV.


INTEL ACCELERA LE INNOVAZIONI DEI PROCESSI PRODUTTIVI E DEL PACKAGING

“Intel ha una lunga storia di innovazioni fondamentali nel processo produttivo che hanno determinato grandi progressi nel settore”, ha dichiarato la Dott.sa Ann Kelleher, senior vice president e general manager of Technology Development di Intel. “Abbiamo guidato la transizione verso il silicio a 90 nm, verso i gate metallici ad elevata costante dielettrica (high-k) da 45 nm e verso il FinFET a 22nm. Intel 20A rappresenterà un nuovo passaggio epocale nella tecnologia di processo con due innovazioni rivoluzionarie: RibbonFET e PowerVia.”

Con la nuova strategia IDM 2.0 di Intel, il packaging sta diventando sempre più importate per realizzare i vantaggi della Legge di Moore. Intel ha annunciato che AWS sarà il primo cliente a utilizzare le soluzioni di packaging IFS, e ha fornito i seguenti dettagli sulla propria roadmap di packaging avanzato:
  • EMIB continua a guidare il settore come la prima soluzione di bridge embedded 2.5D, con prodotti in produzione dal 2017. Sapphire Rapids sarà il primo prodotto per datacenter Xeon a essere commercializzato in massa con EMIB (embedded multi-die interconnect bridge). Sarà anche il primo dispositivo a doppio reticolo nel settore, offrendo quasi le stesse prestazioni di un design monolitico. Oltre a Sapphire Rapids, la prossima generazione di EMIB passerà da un bump pitch di 55 micron a 45 micron.
  • Foveros sfrutta le capacità di packaging a livello di wafer per fornire una soluzione di 3D stacking unica nel suo genere. Meteor Lake sarà l’implementazione di seconda generazione di Foveros in un prodotto client e presenta un bump pitch di 36 micron, tile che coprono molteplici nodi tecnologici e una gamma di potenza termica da 5 a 125 W.
  • Foveros Omni inaugura la nuova generazione della tecnologia Foveros fornendo flessibilità illimitata con la tecnologia di 3D stacking ad alte prestazioni per interconnessione die-to-die e prodotti modulari. Foveros Omni consente la disaggregazione degli stampi, mescolando molteplici tile superiori con molteplici tile di base su nodi fab misti, e si stima essere pronto per la produzione di massa nel 2023.
  • Foveros Direct passa all’unione diretta rame-rame per interconnessioni a bassa resistenza e rende più labile il confine tra dove finisce il wafer e dove inizia il package. Foveros Direct consente picchi di bump inferiori a 10 micron con un aumento di un ordine di grandezza nella densità di interconnessione per il 3D stacking e la creazione di nuovi concetti per il partizionamento funzionale di stampi che prima non erano realizzabili. Foveros Direct è complementare a Foveros Omni ed è anch’esso previsto per il 2023.
Le innovazioni discusse oggi sono state sviluppate principalmente negli stabilimenti di Intel in Oregon e Arizona, consolidando il ruolo dell’azienda come unico attore all’avanguardia avente sia attività di ricerca e sviluppo che di produzione negli Stati Uniti. Inoltre, le innovazioni si basano su una stretta collaborazione con un ecosistema di partner negli Stati Uniti e in Europa. Queste partnership sono fondamentali per portare le innovazioni dal laboratorio alla produzione di massa e Intel si impegna a collaborare con i governi per rafforzare le catene di approvvigionamento e promuovere l’economia e la sicurezza nazionale.

Il webcast si è concluso confermando ulteriori dettagli sull’evento Intel InnovatiON. Intel InnovatiON si terrà a San Francisco e online il 27 e 28 ottobre 2021. Ulteriori informazioni sono disponibili sul sito Intel ON.

www.intel.com

  Richiedi maggiori informazioni…

LinkedIn
Pinterest

Unisciti agli oltre 155.000 follower di IMP